Welcome![Sign In][Sign Up]
Location:
Search - ps2 verilog

Search list

[Other resourcePS2_verilog_source

Description: 在vhdl开发环境下,关于协议PS2 verilog 源码
Platform: | Size: 831 | Author: clwclwclw | Hits:

[VHDL-FPGA-Verilogps2键盘电子琴verilog

Description: ps2键盘控制的电子琴电路,程序简单实用
Platform: | Size: 1058538 | Author: izhou0517 | Hits:

[CSharpcccp

Description: des-soft.com/download/soft/3894.htm - 12k - 网页快照 - 类似网页 - 以Verilog 描述DES 加密算法(电子书)[ 繁体 ] ... CISCO 的fireware, router , SONY 的PS2 的都一再的证明了这个事实. 现在, 我们 就以著名的网络安全加密算法DES 为例子, 来看看如何用Verilog 来表达... ... Re: 以Verilog 描述DES 加密算法(电子书) 由Anonymous 发表于2002/08/29,Thu @15:46:38 ... www.icdiy.org/article.php3?sid=18 - 19k - 网页快照 - 类似网页 -des-soft.com/download/soft/3894.htm- 12k-web snapshot-like web-to Verilog description DES encryption algorithm (e-books) [traditional] ... the fireware CISCO, router, Sony's PS2 has repeatedly proved the this fact. now, we have a well-known network security DES encryption algorithm for example, to see how to use Verilog expression ... ... Re : Verilog description DES encryption algorithm (e-books) from Anonymous on 2002/08/29, Thu @ 15 : 46-38 ... www.icdiy.org/article.php3 sid = 18-19k-web snapshot-like web
Platform: | Size: 8192 | Author: le | Hits:

[VHDL-FPGA-Verilogvhdl_vga_kb

Description: VHDL的显示驱动程序,VHDL的PS2键盘驱动程序-VHDL display drivers, VHDL PS2 Keyboard Driver
Platform: | Size: 3072 | Author: 张明凯 | Hits:

[VHDL-FPGA-Verilogps2mouse_verilog

Description: 本实验利用PS/2接口实现了与鼠标通信,并将鼠标的按键信息通过D6,D7,D8,D9 来直观的放映,其中D6,D7代表鼠标右键的状态,当鼠标右键没有按下时,D6,D7两 个灯都不亮,当鼠标右键有按下时,D6,D7两个灯同时点亮。与此相同,D8,D9则代 表鼠标左键的状态。而鼠标的移动状态,我们是通过七段数码管来表示,低两位的数 码管表示X轴的移动点数,高两位的数码表示Y轴的移动点数。-the experimental use of PS/2 interface with the click of the mouse communications, and the mouse buttons and information through D6. D7, D8, D9 to visual screening, D6, D7 right-clicking on behalf of the state, with the right mouse button pressed, D6, D7 two lights are not bright, with the right mouse button is pressed, D6, D7 while two lights lit. Likewise, D8, D9, on behalf of the state of the left mouse button. And the movement of the mouse state, we agreed to in paragraph 107 of the digital control, low two digital X-axis of said mobile Points Gao said the two digital mobile Y-axis points.
Platform: | Size: 12288 | Author: dragon | Hits:

[VHDL-FPGA-VerilogPS2_verilog_source

Description: 在vhdl开发环境下,关于协议PS2 verilog 源码-In VHDL development environment, with regard to the agreement PS2 verilog source code
Platform: | Size: 1024 | Author: clwclwclw | Hits:

[VHDL-FPGA-Verilogps2

Description: ps2接口源程序。标准的键盘和鼠标接口,在Xilinx SpartanII XC2S200 实验板上通过验证-ps2 interface source. Standard keyboard and mouse interface, in the experiments on-board Xilinx SpartanII XC2S200 validated
Platform: | Size: 23552 | Author: Alex | Hits:

[VHDL-FPGA-Verilogps2_mouse

Description: ps2鼠标驱动,verilog 编写,代码很短,上电初始化后即可使用-ps2 mouse driver
Platform: | Size: 2048 | Author: long Xu | Hits:

[VHDL-FPGA-Verilogpong

Description: Pong is a mixed schematic, VHDL, Verilog project featuring the PS2 and VGA monitor connections of the Xilinx\Digilent Spartan-3 demo board.
Platform: | Size: 74752 | Author: wangfeng | Hits:

[SCMps2

Description: 鼠标的verilog源代码 ,对初学者有很大帮助-Mouse Verilog source code, there is very helpful for beginners
Platform: | Size: 3072 | Author: Jackie | Hits:

[VHDL-FPGA-VerilogLibra_ps2key_lcd

Description: 用Verilog语言实现的PS2小键盘输入和1602 LCD显示的功能。无需修改,已经调试通过了。直接可以当成一个模块用于FPGA/CPLD系统开发过程。 这个代码是我在Libra环境下开发Actel FPGA时写的。-Verilog language using the PS2 keyboard and a small 1602 LCD display features. No changes have been adopted debugging. Directly as a module for the FPGA/CPLD system development process. This code is my development environment in Libra when written in Actel FPGA.
Platform: | Size: 6144 | Author: 赵二虎 | Hits:

[VHDL-FPGA-Verilogps2_keyboard

Description: FPGA PS2键盘驱动设计,使用软件QuartusII6.0 verilog-FPGA PS2 keyboard-driven design, the use of software QuartusII6.0 verilog
Platform: | Size: 832512 | Author: 张一 | Hits:

[VHDL-FPGA-VerilogPS2

Description: 此代码是PS2键盘的Verilog程序,键盘的字符可显示在LCD 1602上,经上板调试程序是可行的-This code is a PS2 keyboard Verilog program, keyboard characters can be displayed on the LCD 1602, after the board debug process is feasible
Platform: | Size: 9216 | Author: Evan Xie | Hits:

[VHDL-FPGA-Verilogps2_mouse_interface

Description: ps2接口的鼠标与vga接口的驱动程序,Verilog HDL语言,运用于FPGA-ps2_mouse_interface and vga in Verilog HDL language, applied to FPGA
Platform: | Size: 5120 | Author: 吴笑 | Hits:

[VHDL-FPGA-Verilogps2

Description: PS2 keyboard with verilog
Platform: | Size: 293888 | Author: farshad | Hits:

[VHDL-FPGA-VerilogS9_PS2_LCD

Description: ps2+12864,verilog源代码-ps2+12864, verilog source code. .
Platform: | Size: 777216 | Author: 王半田 | Hits:

[VHDL-FPGA-Verilogps2

Description: 这是采用了verilog 语言编写的ps2,也就是键盘和FPGA交互的端口协议,适用于virtex5-This is used ps2 verilog language, which is the keyboard port protocol and interactive FPGA for virtex5
Platform: | Size: 2048 | Author: 梁卓君 | Hits:

[VHDL-FPGA-Verilogps2

Description: verilog实现对键盘的编解码,实现PS2-Verilog keyboard codec to achieve PS2
Platform: | Size: 3538944 | Author: zou | Hits:

[Other外设控制入门篇09:PS2接口控制实验

Description: ps2接口的简单测试与功能演示代码 已经测试过的(ps2Ps2 interface simple and functional demo code has been tested)
Platform: | Size: 3605504 | Author: 我心永恒 | Hits:

[Embeded-SCM DevelopPS2鼠标VGA画笔

Description: 鼠标连接到FPGA开发板PS2, 通过VGA显示鼠标移到。(The mouse is connected to the FPGA development board PS2, and the mouse is moved through the VGA.)
Platform: | Size: 17263616 | Author: 你在猜猜看 | Hits:
« 1 23 4 5 6 7 8 »

CodeBus www.codebus.net